§ Пины GPIO

BANK  | PIN     | SHIELD PS2 | 7SEGMENT | ETHERNET
===================================================
IO-0  | PIN_101 | USB0_DAT-  | DIG[4] E | RXD[3]
IO-1  | PIN_103 | USB1_DAT-  | DIG[7] . | RXCLK
IO-2  | PIN_104 | USB1_DAT+  | DIG[3] D | RXD[1]
IO-3  | PIN_105 | USB0_DAT+  | DIG[6] G | RXD[2]
IO-4  | PIN_106 | --         | DIG[2] C | RXDV
IO-5  | PIN_110 | --         | DIG[5] F | RXD[0]
IO-6  | PIN_111 | SOUND_LF   | DIG[0] A | MDIO
IO-7  | PIN_112 | SOUND_RT   | DIG[1] B | MDC
IO-8  | PIN_113 | KEYB_CLK   | KEY[3]   | RESETB
IO-9  | PIN_114 | KEYB_DAT   | KEY[1]   | XI
IO-10 | PIN_115 | MOUSE_CLK  | KEY[2]   | TXEN
IO-11 | PIN_119 | MOUSE_DAT  | KEY[0]   | TXD[3]
IO-12 | PIN_120 | --         | DIGEN[2] | TXD[2]
IO-13 | PIN_121 | --         | DIGEN[1] | TXD[1]
IO-14 | PIN_124 | --         | DIGEN[3] | TXD[0]
IO-15 | PIN_125 | --         | DIGEN[0] | TXCLK

§ Назначение контактов в файле QSF

1# CLK
2set_location_assignment PIN_25  -to clk
3
4# LED
5set_location_assignment PIN_79  -to led[3]
6set_location_assignment PIN_83  -to led[2]
7set_location_assignment PIN_84  -to led[1]
8set_location_assignment PIN_85  -to led[0]
9
10# KEY
11set_location_assignment PIN_23  -to keys[0]
12set_location_assignment PIN_22  -to keys[1]
13
14# ADC
15set_location_assignment PIN_86  -to adc_clock_20mhz
16set_location_assignment PIN_100 -to adc_input[0]
17set_location_assignment PIN_99  -to adc_input[1]
18set_location_assignment PIN_98  -to adc_input[2]
19set_location_assignment PIN_91  -to adc_input[3]
20set_location_assignment PIN_90  -to adc_input[4]
21set_location_assignment PIN_89  -to adc_input[5]
22set_location_assignment PIN_87  -to adc_input[7]
23set_location_assignment PIN_88  -to adc_input[6]
24
25# VGA
26set_location_assignment PIN_128 -to vga_blue[4]
27set_location_assignment PIN_129 -to vga_blue[3]
28set_location_assignment PIN_132 -to vga_blue[2]
29set_location_assignment PIN_133 -to vga_blue[1]
30set_location_assignment PIN_135 -to vga_blue[0]
31set_location_assignment PIN_144 -to vga_red[4]
32set_location_assignment PIN_1   -to vga_red[3]
33set_location_assignment PIN_2   -to vga_red[2]
34set_location_assignment PIN_3   -to vga_red[1]
35set_location_assignment PIN_7   -to vga_red[0]
36set_location_assignment PIN_136 -to vga_green[5]
37set_location_assignment PIN_137 -to vga_green[4]
38set_location_assignment PIN_138 -to vga_green[3]
39set_location_assignment PIN_141 -to vga_green[2]
40set_location_assignment PIN_142 -to vga_green[1]
41set_location_assignment PIN_143 -to vga_green[0]
42set_location_assignment PIN_127 -to vga_hs
43set_location_assignment PIN_126 -to vga_vs
44
45# SDRAM
46set_location_assignment PIN_60  -to sdram_addr[0]
47set_location_assignment PIN_64  -to sdram_addr[1]
48set_location_assignment PIN_65  -to sdram_addr[2]
49set_location_assignment PIN_66  -to sdram_addr[3]
50set_location_assignment PIN_46  -to sdram_addr[4]
51set_location_assignment PIN_49  -to sdram_addr[5]
52set_location_assignment PIN_50  -to sdram_addr[6]
53set_location_assignment PIN_51  -to sdram_addr[7]
54set_location_assignment PIN_52  -to sdram_addr[8]
55set_location_assignment PIN_53  -to sdram_addr[9]
56set_location_assignment PIN_59  -to sdram_addr[10]
57set_location_assignment PIN_54  -to sdram_addr[11]
58set_location_assignment PIN_55  -to sdram_bank[0]
59set_location_assignment PIN_58  -to sdram_bank[1]
60set_location_assignment PIN_30  -to sdram_dq[15]
61set_location_assignment PIN_31  -to sdram_dq[14]
62set_location_assignment PIN_32  -to sdram_dq[13]
63set_location_assignment PIN_33  -to sdram_dq[12]
64set_location_assignment PIN_34  -to sdram_dq[11]
65set_location_assignment PIN_38  -to sdram_dq[10]
66set_location_assignment PIN_39  -to sdram_dq[9]
67set_location_assignment PIN_42  -to sdram_dq[8]
68set_location_assignment PIN_71  -to sdram_dq[7]
69set_location_assignment PIN_72  -to sdram_dq[6]
70set_location_assignment PIN_73  -to sdram_dq[5]
71set_location_assignment PIN_74  -to sdram_dq[4]
72set_location_assignment PIN_75  -to sdram_dq[3]
73set_location_assignment PIN_76  -to sdram_dq[2]
74set_location_assignment PIN_77  -to sdram_dq[1]
75set_location_assignment PIN_80  -to sdram_dq[0]
76set_location_assignment PIN_68  -to sdram_cas
77set_location_assignment PIN_44  -to sdram_clock
78set_location_assignment PIN_70  -to sdram_ldqm
79set_location_assignment PIN_67  -to sdram_ras
80set_location_assignment PIN_43  -to sdram_udqm
81set_location_assignment PIN_69  -to sdram_we
82
83# FTDI
84set_location_assignment PIN_24  -to ftdi_rx
85set_location_assignment PIN_28  -to ftdi_tx

§ Шилд разъемов с PS/2


Снимок экрана от 2023-01-30 09-55-04.png
1set_location_assignment PIN_103 -to usb[1]
2set_location_assignment PIN_104 -to usb[0]
3set_location_assignment PIN_111 -to sound_left
4set_location_assignment PIN_112 -to sound_right
5set_location_assignment PIN_113 -to ps2_keyb[1]
6set_location_assignment PIN_114 -to ps2_keyb[0]
7set_location_assignment PIN_115 -to ps2_mouse[1]
8set_location_assignment PIN_119 -to ps2_mouse[0]

§ Шилд семисегментного индикатора

Схема шилда

Назначение контактов в QSF
1# Индикатор
2set_location_assignment PIN_111 -to dig[0]
3set_location_assignment PIN_112 -to dig[1]
4set_location_assignment PIN_106 -to dig[2]
5set_location_assignment PIN_104 -to dig[3]
6set_location_assignment PIN_101 -to dig[4]
7set_location_assignment PIN_110 -to dig[5]
8set_location_assignment PIN_105 -to dig[6]
9set_location_assignment PIN_103 -to dig[7]
10
11# Кнопки
12set_location_assignment PIN_119 -to key[0]
13set_location_assignment PIN_114 -to key[1]
14set_location_assignment PIN_115 -to key[2]
15set_location_assignment PIN_113 -to key[3]
16
17# Транзисторы
18set_location_assignment PIN_125 -to edig[0]
19set_location_assignment PIN_121 -to edig[1]
20set_location_assignment PIN_120 -to edig[2]
21set_location_assignment PIN_124 -to edig[3]

§ Шилд Ethernet

Назначение контактов в QSF
1set_location_assignment PIN_101 -to RTL_RXD[3]
2set_location_assignment PIN_103 -to RTL_RXCLK
3set_location_assignment PIN_104 -to RTL_RXD[1]
4set_location_assignment PIN_105 -to RTL_RXD[2]
5set_location_assignment PIN_106 -to RTL_RXDV
6set_location_assignment PIN_110 -to RTL_RXD[0]
7set_location_assignment PIN_111 -to RTL_MDIO
8set_location_assignment PIN_112 -to RTL_MDC
9
10set_location_assignment PIN_113 -to RTL_RESETB
11set_location_assignment PIN_114 -to RTL_XI
12set_location_assignment PIN_115 -to RTL_TXEN
13set_location_assignment PIN_119 -to RTL_TXD[3]
14set_location_assignment PIN_120 -to RTL_TXD[2]
15set_location_assignment PIN_121 -to RTL_TXD[1]
16set_location_assignment PIN_124 -to RTL_TXD[0]
17set_location_assignment PIN_125 -to RTL_TXCLK
Подключение микросхемы:
  • Подключить RTL_MDC = 0, RTL_RESETB = 1. Подать
  • Подать 25 Мгц на RTL_XI
  • Принимать входящие данные по RTL_RXCLK, исходящие по RTL_TXCLK
  • RTL_RXDV = 1 означает, что пришедшие данные валидные
  • Входящие данные RTL_RXD
  • Исходящие данные RTL_TXD