§ Общий вид микросхемы

Модель микросхемы 5CEBA4F23C7

Там где:
  • Треугольник вверх - это VCC (плюс), наполовину закрашенный VCCREF (опорное напряжение)
  • Треугольник вверх с D: VCCPD; O: VCCIO; P: VCCPLL
  • Треугольник вниз GND (минус)
  • X - контакт не присоединен

§ Назначение пинов

Данные находятся в файле формата *.QSF
1# CLOCK
2set_location_assignment PIN_H13  -to CLOCK2_50
3set_location_assignment PIN_E10  -to CLOCK3_50
4set_location_assignment PIN_V15  -to CLOCK4_50
5set_location_assignment PIN_M9   -to CLOCK_50
6
7# SDRAM
8set_location_assignment PIN_W8   -to DRAM_ADDR[0]
9set_location_assignment PIN_T8   -to DRAM_ADDR[1]
10set_location_assignment PIN_U11  -to DRAM_ADDR[2]
11set_location_assignment PIN_Y10  -to DRAM_ADDR[3]
12set_location_assignment PIN_N6   -to DRAM_ADDR[4]
13set_location_assignment PIN_AB10 -to DRAM_ADDR[5]
14set_location_assignment PIN_P12  -to DRAM_ADDR[6]
15set_location_assignment PIN_P7   -to DRAM_ADDR[7]
16set_location_assignment PIN_P8   -to DRAM_ADDR[8]
17set_location_assignment PIN_R5   -to DRAM_ADDR[9]
18set_location_assignment PIN_U8   -to DRAM_ADDR[10]
19set_location_assignment PIN_P6   -to DRAM_ADDR[11]
20set_location_assignment PIN_R7   -to DRAM_ADDR[12]
21set_location_assignment PIN_T7   -to DRAM_BA[0]
22set_location_assignment PIN_AB7  -to DRAM_BA[1]
23set_location_assignment PIN_V6   -to DRAM_CAS_N
24set_location_assignment PIN_R6   -to DRAM_CKE
25set_location_assignment PIN_AB11 -to DRAM_CLK
26set_location_assignment PIN_U6   -to DRAM_CS_N
27set_location_assignment PIN_Y9   -to DRAM_DQ[0]
28set_location_assignment PIN_T10  -to DRAM_DQ[1]
29set_location_assignment PIN_R9   -to DRAM_DQ[2]
30set_location_assignment PIN_Y11  -to DRAM_DQ[3]
31set_location_assignment PIN_R10  -to DRAM_DQ[4]
32set_location_assignment PIN_R11  -to DRAM_DQ[5]
33set_location_assignment PIN_R12  -to DRAM_DQ[6]
34set_location_assignment PIN_AA12 -to DRAM_DQ[7]
35set_location_assignment PIN_AA9  -to DRAM_DQ[8]
36set_location_assignment PIN_AB8  -to DRAM_DQ[9]
37set_location_assignment PIN_AA8  -to DRAM_DQ[10]
38set_location_assignment PIN_AA7  -to DRAM_DQ[11]
39set_location_assignment PIN_V10  -to DRAM_DQ[12]
40set_location_assignment PIN_V9   -to DRAM_DQ[13]
41set_location_assignment PIN_U10  -to DRAM_DQ[14]
42set_location_assignment PIN_T9   -to DRAM_DQ[15]
43set_location_assignment PIN_U12  -to DRAM_LDQM
44set_location_assignment PIN_AB6  -to DRAM_RAS_N
45set_location_assignment PIN_N8   -to DRAM_UDQM
46set_location_assignment PIN_AB5  -to DRAM_WE_N
47
48# GPIO-0
49set_location_assignment PIN_N16  -to GPIO_0[0]
50set_location_assignment PIN_B16  -to GPIO_0[1]
51set_location_assignment PIN_M16  -to GPIO_0[2]
52set_location_assignment PIN_C16  -to GPIO_0[3]
53set_location_assignment PIN_D17  -to GPIO_0[4]
54set_location_assignment PIN_K20  -to GPIO_0[5]
55set_location_assignment PIN_K21  -to GPIO_0[6]
56set_location_assignment PIN_K22  -to GPIO_0[7]
57set_location_assignment PIN_M20  -to GPIO_0[8]
58set_location_assignment PIN_M21  -to GPIO_0[9]
59set_location_assignment PIN_N21  -to GPIO_0[10]
60set_location_assignment PIN_R22  -to GPIO_0[11]
61set_location_assignment PIN_R21  -to GPIO_0[12]
62set_location_assignment PIN_T22  -to GPIO_0[13]
63set_location_assignment PIN_N20  -to GPIO_0[14]
64set_location_assignment PIN_N19  -to GPIO_0[15]
65set_location_assignment PIN_M22  -to GPIO_0[16]
66set_location_assignment PIN_P19  -to GPIO_0[17]
67set_location_assignment PIN_L22  -to GPIO_0[18]
68set_location_assignment PIN_P17  -to GPIO_0[19]
69set_location_assignment PIN_P16  -to GPIO_0[20]
70set_location_assignment PIN_M18  -to GPIO_0[21]
71set_location_assignment PIN_L18  -to GPIO_0[22]
72set_location_assignment PIN_L17  -to GPIO_0[23]
73set_location_assignment PIN_L19  -to GPIO_0[24]
74set_location_assignment PIN_K17  -to GPIO_0[25]
75set_location_assignment PIN_K19  -to GPIO_0[26]
76set_location_assignment PIN_P18  -to GPIO_0[27]
77set_location_assignment PIN_R15  -to GPIO_0[28]
78set_location_assignment PIN_R17  -to GPIO_0[29]
79set_location_assignment PIN_R16  -to GPIO_0[30]
80set_location_assignment PIN_T20  -to GPIO_0[31]
81set_location_assignment PIN_T19  -to GPIO_0[32]
82set_location_assignment PIN_T18  -to GPIO_0[33]
83set_location_assignment PIN_T17  -to GPIO_0[34]
84set_location_assignment PIN_T15  -to GPIO_0[35]
85
86# GPIO-1
87set_location_assignment PIN_H16  -to GPIO_1[0]
88set_location_assignment PIN_A12  -to GPIO_1[1]
89set_location_assignment PIN_H15  -to GPIO_1[2]
90set_location_assignment PIN_B12  -to GPIO_1[3]
91set_location_assignment PIN_A13  -to GPIO_1[4]
92set_location_assignment PIN_B13  -to GPIO_1[5]
93set_location_assignment PIN_C13  -to GPIO_1[6]
94set_location_assignment PIN_D13  -to GPIO_1[7]
95set_location_assignment PIN_G18  -to GPIO_1[8]
96set_location_assignment PIN_G17  -to GPIO_1[9]
97set_location_assignment PIN_H18  -to GPIO_1[10]
98set_location_assignment PIN_J18  -to GPIO_1[11]
99set_location_assignment PIN_J19  -to GPIO_1[12]
100set_location_assignment PIN_G11  -to GPIO_1[13]
101set_location_assignment PIN_H10  -to GPIO_1[14]
102set_location_assignment PIN_J11  -to GPIO_1[15]
103set_location_assignment PIN_H14  -to GPIO_1[16]
104set_location_assignment PIN_A15  -to GPIO_1[17]
105set_location_assignment PIN_J13  -to GPIO_1[18]
106set_location_assignment PIN_L8   -to GPIO_1[19]
107set_location_assignment PIN_A14  -to GPIO_1[20]
108set_location_assignment PIN_B15  -to GPIO_1[21]
109set_location_assignment PIN_C15  -to GPIO_1[22]
110set_location_assignment PIN_E14  -to GPIO_1[23]
111set_location_assignment PIN_E15  -to GPIO_1[24]
112set_location_assignment PIN_E16  -to GPIO_1[25]
113set_location_assignment PIN_F14  -to GPIO_1[26]
114set_location_assignment PIN_F15  -to GPIO_1[27]
115set_location_assignment PIN_F13  -to GPIO_1[28]
116set_location_assignment PIN_F12  -to GPIO_1[29]
117set_location_assignment PIN_G16  -to GPIO_1[30]
118set_location_assignment PIN_G15  -to GPIO_1[31]
119set_location_assignment PIN_G13  -to GPIO_1[32]
120set_location_assignment PIN_G12  -to GPIO_1[33]
121set_location_assignment PIN_J17  -to GPIO_1[34]
122set_location_assignment PIN_K16  -to GPIO_1[35]
123
124# HEX 0-5
125set_location_assignment PIN_U21  -to HEX0[0]
126set_location_assignment PIN_V21  -to HEX0[1]
127set_location_assignment PIN_W22  -to HEX0[2]
128set_location_assignment PIN_W21  -to HEX0[3]
129set_location_assignment PIN_Y22  -to HEX0[4]
130set_location_assignment PIN_Y21  -to HEX0[5]
131set_location_assignment PIN_AA22 -to HEX0[6]
132set_location_assignment PIN_AA20 -to HEX1[0]
133set_location_assignment PIN_AB20 -to HEX1[1]
134set_location_assignment PIN_AA19 -to HEX1[2]
135set_location_assignment PIN_AA18 -to HEX1[3]
136set_location_assignment PIN_AB18 -to HEX1[4]
137set_location_assignment PIN_AA17 -to HEX1[5]
138set_location_assignment PIN_U22  -to HEX1[6]
139set_location_assignment PIN_Y19  -to HEX2[0]
140set_location_assignment PIN_AB17 -to HEX2[1]
141set_location_assignment PIN_AA10 -to HEX2[2]
142set_location_assignment PIN_Y14  -to HEX2[3]
143set_location_assignment PIN_V14  -to HEX2[4]
144set_location_assignment PIN_AB22 -to HEX2[5]
145set_location_assignment PIN_AB21 -to HEX2[6]
146set_location_assignment PIN_Y16  -to HEX3[0]
147set_location_assignment PIN_W16  -to HEX3[1]
148set_location_assignment PIN_Y17  -to HEX3[2]
149set_location_assignment PIN_V16  -to HEX3[3]
150set_location_assignment PIN_U17  -to HEX3[4]
151set_location_assignment PIN_V18  -to HEX3[5]
152set_location_assignment PIN_V19  -to HEX3[6]
153set_location_assignment PIN_U20  -to HEX4[0]
154set_location_assignment PIN_Y20  -to HEX4[1]
155set_location_assignment PIN_V20  -to HEX4[2]
156set_location_assignment PIN_U16  -to HEX4[3]
157set_location_assignment PIN_U15  -to HEX4[4]
158set_location_assignment PIN_Y15  -to HEX4[5]
159set_location_assignment PIN_P9   -to HEX4[6]
160set_location_assignment PIN_N9   -to HEX5[0]
161set_location_assignment PIN_M8   -to HEX5[1]
162set_location_assignment PIN_T14  -to HEX5[2]
163set_location_assignment PIN_P14  -to HEX5[3]
164set_location_assignment PIN_C1   -to HEX5[4]
165set_location_assignment PIN_C2   -to HEX5[5]
166set_location_assignment PIN_W19  -to HEX5[6]
167
168# KEY
169set_location_assignment PIN_U7   -to KEY[0]
170set_location_assignment PIN_W9   -to KEY[1]
171set_location_assignment PIN_M7   -to KEY[2]
172set_location_assignment PIN_M6   -to KEY[3]
173
174# LEDR
175set_location_assignment PIN_AA2  -to LEDR[0]
176set_location_assignment PIN_AA1  -to LEDR[1]
177set_location_assignment PIN_W2   -to LEDR[2]
178set_location_assignment PIN_Y3   -to LEDR[3]
179set_location_assignment PIN_N2   -to LEDR[4]
180set_location_assignment PIN_N1   -to LEDR[5]
181set_location_assignment PIN_U2   -to LEDR[6]
182set_location_assignment PIN_U1   -to LEDR[7]
183set_location_assignment PIN_L2   -to LEDR[8]
184set_location_assignment PIN_L1   -to LEDR[9]
185
186# PS2
187set_location_assignment PIN_D3   -to PS2_CLK
188set_location_assignment PIN_E2   -to PS2_CLK2
189set_location_assignment PIN_G2   -to PS2_DAT
190set_location_assignment PIN_G1   -to PS2_DAT2
191set_location_assignment PIN_P22  -to RESET_N
192
193# SD
194set_location_assignment PIN_H11  -to SD_CLK
195set_location_assignment PIN_B11  -to SD_CMD
196set_location_assignment PIN_K9   -to SD_DATA[0]
197set_location_assignment PIN_D12  -to SD_DATA[1]
198set_location_assignment PIN_E12  -to SD_DATA[2]
199set_location_assignment PIN_C11  -to SD_DATA[3]
200
201# SWITCH
202set_location_assignment PIN_U13  -to SW[0]
203set_location_assignment PIN_V13  -to SW[1]
204set_location_assignment PIN_T13  -to SW[2]
205set_location_assignment PIN_T12  -to SW[3]
206set_location_assignment PIN_AA15 -to SW[4]
207set_location_assignment PIN_AB15 -to SW[5]
208set_location_assignment PIN_AA14 -to SW[6]
209set_location_assignment PIN_AA13 -to SW[7]
210set_location_assignment PIN_AB13 -to SW[8]
211set_location_assignment PIN_AB12 -to SW[9]
212
213# VGA
214set_location_assignment PIN_B6   -to VGA_B[0]
215set_location_assignment PIN_B7   -to VGA_B[1]
216set_location_assignment PIN_A8   -to VGA_B[2]
217set_location_assignment PIN_A7   -to VGA_B[3]
218set_location_assignment PIN_L7   -to VGA_G[0]
219set_location_assignment PIN_K7   -to VGA_G[1]
220set_location_assignment PIN_J7   -to VGA_G[2]
221set_location_assignment PIN_J8   -to VGA_G[3]
222set_location_assignment PIN_H8   -to VGA_HS
223set_location_assignment PIN_A9   -to VGA_R[0]
224set_location_assignment PIN_B10  -to VGA_R[1]
225set_location_assignment PIN_C9   -to VGA_R[2]
226set_location_assignment PIN_A5   -to VGA_R[3]
227set_location_assignment PIN_G8   -to VGA_VS

§ Установка стандарта 3.3V на пины

1# CLOCK
2set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50
3set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK2_50
4set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK3_50
5set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK4_50
6
7# DRAM
8set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0]
9set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1]
10set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2]
11set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3]
12set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4]
13set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5]
14set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6]
15set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7]
16set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8]
17set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9]
18set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10]
19set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11]
20set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12]
21set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[0]
22set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[1]
23set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N
24set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE
25set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK
26set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N
27set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0]
28set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1]
29set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2]
30set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3]
31set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4]
32set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5]
33set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6]
34set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7]
35set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8]
36set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9]
37set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10]
38set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11]
39set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12]
40set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13]
41set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14]
42set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15]
43set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_LDQM
44set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N
45set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_UDQM
46set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N
47
48# GPIO
49set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[0]
50set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[1]
51set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[2]
52set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[3]
53set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[4]
54set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[5]
55set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[6]
56set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[7]
57set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[8]
58set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[9]
59set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[10]
60set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[11]
61set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[12]
62set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[13]
63set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[14]
64set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[15]
65set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[16]
66set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[17]
67set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[18]
68set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[19]
69set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[20]
70set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[21]
71set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[22]
72set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[23]
73set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[24]
74set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[25]
75set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[26]
76set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[27]
77set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[28]
78set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[29]
79set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[30]
80set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[31]
81set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[32]
82set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[33]
83set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[34]
84set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[35]
85set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[0]
86set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[1]
87set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[2]
88set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[3]
89set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[4]
90set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[5]
91set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[6]
92set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[7]
93set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[8]
94set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[9]
95set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[10]
96set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[11]
97set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[12]
98set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[13]
99set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[14]
100set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[15]
101set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[16]
102set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[17]
103set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[18]
104set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[19]
105set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[20]
106set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[21]
107set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[22]
108set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[23]
109set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[24]
110set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[25]
111set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[26]
112set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[27]
113set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[28]
114set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[29]
115set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[30]
116set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[31]
117set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[32]
118set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[33]
119set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[34]
120set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[35]
121
122# HEX
123set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[0]
124set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[1]
125set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[2]
126set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[3]
127set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[4]
128set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[5]
129set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[6]
130
131set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[0]
132set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[1]
133set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[2]
134set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[3]
135set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[4]
136set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[5]
137set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[6]
138
139set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[0]
140set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[1]
141set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[2]
142set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[3]
143set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[4]
144set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[5]
145set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[6]
146
147set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[0]
148set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[1]
149set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2]
150set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3]
151set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4]
152set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5]
153set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6]
154
155set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[0]
156set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[1]
157set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[2]
158set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[3]
159set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[4]
160set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[5]
161set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[6]
162
163set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[0]
164set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[1]
165set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[2]
166set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[3]
167set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[4]
168set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[5]
169set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[6]
170
171# KEY
172set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[0]
173set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[1]
174set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[2]
175set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[3]
176
177# LEDR
178set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[0]
179set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[1]
180set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[2]
181set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[3]
182set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[4]
183set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[5]
184set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[6]
185set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[7]
186set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[8]
187set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[9]
188
189# PS2
190set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_CLK
191set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_CLK2
192set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_DAT
193set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_DAT2
194
195# RESET
196set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RESET_N
197
198# SD
199set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CLK
200set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CMD
201set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DATA[0]
202set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DATA[1]
203set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DATA[2]
204set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DATA[3]
205
206# SWITCH
207set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0]
208set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1]
209set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2]
210set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3]
211set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4]
212set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5]
213set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6]
214set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7]
215set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8]
216set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9]
217
218# VGA
219set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0]
220set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1]
221set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2]
222set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3]
223set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0]
224set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1]
225set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2]
226set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3]
227set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS
228set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0]
229set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1]
230set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2]
231set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3]
232set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS